pyGHDL.libghdl.vhdl.nodes_meta#

Functions

Classes


Functions

pyGHDL.libghdl.vhdl.nodes_meta.get_fields_first(K)[source]#

Return the list of fields for node K.

In Ada Vhdl.Nodes_Meta.Get_Fields returns a Fields_Array. To emulate this array access, the API provides get_fields_first and get_fields_last().

The fields are sorted: first the non nodes/list of nodes, then the nodes/lists that aren’t reference, and then the reference.

Parameters:

K (TypeVar(IirKind, bound= c_int)) – Node to get first array index from.

Return type:

int

pyGHDL.libghdl.vhdl.nodes_meta.get_fields_last(K)[source]#

Return the list of fields for node K.

In Ada Vhdl.Nodes_Meta.Get_Fields returns a Fields_Array. To emulate this array access, the API provides get_fields_first() and get_fields_last.

The fields are sorted: first the non nodes/list of nodes, then the nodes/lists that aren’t reference, and then the reference.

Parameters:

K (TypeVar(IirKind, bound= c_int)) – Node to get last array index from.

Return type:

int

pyGHDL.libghdl.vhdl.nodes_meta.get_field_by_index(K)[source]#
Return type:

int

Parameters:

K (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.get_field_type(*args)[source]#
pyGHDL.libghdl.vhdl.nodes_meta.get_field_attribute(*args)[source]#
pyGHDL.libghdl.vhdl.nodes_meta.Has_First_Design_Unit(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Last_Design_Unit(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Library_Declaration(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_File_Checksum(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Analysis_Time_Stamp(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Design_File_Source(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Library(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Design_File_Filename(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Design_File_Directory(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Design_File(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Design_File_Chain(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Library_Directory(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Date(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Context_Items(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Dependence_List(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Analysis_Checks_List(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Date_State(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Guarded_Target_State(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Library_Unit(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Hash_Chain(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Design_Unit_Source_Pos(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Design_Unit_Source_Line(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Design_Unit_Source_Col(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Value(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Enum_Pos(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Physical_Literal(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Fp_Value(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Simple_Aggregate_List(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_String8_Id(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_String_Length(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Bit_String_Base(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Signed(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Sign(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Length(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Literal_Length(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Literal_Origin(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Range_Origin(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Literal_Subtype(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Allocator_Subtype(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Entity_Class(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Entity_Name_List(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Attribute_Designator(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Attribute_Specification_Chain(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Attribute_Specification(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Static_Attribute_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Signal_List(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Quantity_List(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Designated_Entity(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Formal(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Actual(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Open_Actual(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Actual_Conversion(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Formal_Conversion(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Whole_Association_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Collapse_Signal_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Artificial_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Open_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_After_Drivers_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_We_Value(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Time(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Associated_Expr(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Associated_Block(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Associated_Chain(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Choice_Name(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Choice_Expression(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Choice_Range(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Same_Alternative_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Element_Type_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Architecture(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Block_Specification(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Prev_Block_Configuration(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Configuration_Item_Chain(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Attribute_Value_Chain(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Spec_Chain(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Value_Chain(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Attribute_Value_Spec_Chain(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Entity_Name(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Package(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Package_Body(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Instance_Package_Body(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Owned_Instance_Package_Body(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Need_Body(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Immediate_Body_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Macro_Expanded_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Need_Instance_Bodies(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Hierarchical_Name(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Vunit_Item_Chain(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Bound_Vunit_Chain(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Verification_Block_Configuration(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Block_Configuration(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Concurrent_Statement_Chain(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Chain(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Port_Chain(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Generic_Chain(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Type(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Subtype_Indication(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Discrete_Range(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Type_Definition(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Subtype_Definition(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Incomplete_Type_Declaration(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Interface_Type_Subprograms(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Interface_Type_Definition(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Nature_Definition(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Nature(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Subnature_Indication(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Reference_Terminal_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Mode(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Guarded_Signal_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Signal_Kind(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Base_Name(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Interface_Declaration_Chain(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Default_Subprogram(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Associated_Subprogram(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Subprogram_Specification(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Sequential_Statement_Chain(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Simultaneous_Statement_Chain(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Subprogram_Body(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Overload_Number(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Subprogram_Depth(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Subprogram_Hash(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Impure_Depth(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Return_Type(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Implicit_Definition(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Uninstantiated_Subprogram_Name(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Default_Value(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Deferred_Declaration(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Deferred_Declaration_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Shared_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Design_Unit(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Block_Statement(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Signal_Driver(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Declaration_Chain(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_File_Logical_Name(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_File_Open_Kind(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Element_Position(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Use_Clause_Chain(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Context_Reference_Chain(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Inherit_Spec_Chain(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Selected_Name(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Type_Declarator(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Complete_Type_Definition(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Incomplete_Type_Ref_Chain(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Associated_Type(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Enumeration_Literal_List(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Entity_Class_Entry_Chain(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Group_Constituent_List(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Unit_Chain(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Primary_Unit(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Identifier(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Label(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Return_Identifier(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Visible_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Range_Constraint(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Direction(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Left_Limit(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Right_Limit(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Left_Limit_Expr(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Right_Limit_Expr(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Parent_Type(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Simple_Nature(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Base_Nature(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Resolution_Indication(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Record_Element_Resolution_Chain(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Tolerance(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Plus_Terminal_Name(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Minus_Terminal_Name(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Plus_Terminal(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Minus_Terminal(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Magnitude_Expression(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Phase_Expression(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Power_Expression(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Simultaneous_Left(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Simultaneous_Right(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Text_File_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Only_Characters_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Is_Character_Type(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Nature_Staticness(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Type_Staticness(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Constraint_State(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Index_Subtype_List(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Index_Subtype_Definition_List(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Element_Subtype_Indication(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Element_Subtype(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Element_Subnature_Indication(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Element_Subnature(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Index_Constraint_List(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Array_Element_Constraint(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Array_Constraint_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Element_Constraint_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Elements_Declaration_List(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Owned_Elements_Chain(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Designated_Type(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Designated_Subtype_Indication(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Index_List(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Reference(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Nature_Declarator(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Across_Type_Mark(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Through_Type_Mark(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Across_Type_Definition(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Through_Type_Definition(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Across_Type(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Through_Type(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Target(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Waveform_Chain(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Guard(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Delay_Mechanism(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Reject_Time_Expression(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Force_Mode(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Force_Mode(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Sensitivity_List(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Process_Origin(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Package_Origin(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Condition_Clause(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Break_Element(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Selector_Quantity(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Break_Quantity(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Timeout_Clause(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Postponed_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Callees_List(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Passive_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Resolution_Function_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Wait_State(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_All_Sensitized_State(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Seen_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Pure_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Foreign_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Resolved_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Signal_Type_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Signal_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Purity_State(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Elab_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Vendor_Library_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Configuration_Mark_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Configuration_Done_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Index_Constraint_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Hide_Implicit_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Assertion_Condition(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Report_Expression(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Severity_Expression(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Instantiated_Unit(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Instantiated_Header(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Generic_Map_Aspect_Chain(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Port_Map_Aspect_Chain(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Configuration_Name(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Component_Configuration(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Configuration_Specification(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Default_Binding_Indication(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Default_Configuration_Declaration(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Expression(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Conditional_Expression_Chain(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Allocator_Designated_Type(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Selected_Waveform_Chain(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Conditional_Waveform_Chain(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Guard_Expression(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Guard_Decl(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Guard_Sensitivity_List(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Attribute_Implicit_Chain(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Block_Block_Configuration(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Package_Header(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Block_Header(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Uninstantiated_Package_Name(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Uninstantiated_Package_Decl(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Associated_Package(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Instance_Source_File(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Generate_Block_Configuration(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Generate_Statement_Body(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Alternative_Label(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Generate_Else_Clause(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Condition(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Else_Clause(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Parameter_Specification(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Parent(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Loop_Label(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Exit_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Next_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Component_Name(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Instantiation_List(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Entity_Aspect(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Default_Entity_Aspect(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Binding_Indication(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Named_Entity(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Referenced_Name(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Expr_Staticness(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Scalar_Size(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Error_Origin(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Operand(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Left(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Right(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Unit_Name(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Name(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Group_Template_Name(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Name_Staticness(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Prefix(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Signature_Prefix(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_External_Pathname(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Pathname_Suffix(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Pathname_Expression(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_In_Formal_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Inertial_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Slice_Subtype(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Suffix(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Index_Subtype(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Parameter(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Parameter_2(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Parameter_3(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Parameter_4(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Attr_Chain(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Attribute_Implicit_Declaration(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Actual_Type(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Actual_Type_Definition(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Association_Chain(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Individual_Association_Chain(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Subprogram_Association_Chain(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Aggregate_Info(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Sub_Aggregate_Info(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Aggr_Dynamic_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Aggr_Min_Length(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Aggr_Low_Limit(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Aggr_High_Limit(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Aggr_Others_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Aggr_Named_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Aggregate_Expand_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Determined_Aggregate_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Association_Choices_Chain(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Case_Statement_Alternative_Chain(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Matching_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Choice_Staticness(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Procedure_Call(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Implementation(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Parameter_Association_Chain(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Method_Object(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Subtype_Type_Mark(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Subnature_Nature_Mark(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Type_Conversion_Subtype(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Type_Mark(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_File_Type_Mark(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Return_Type_Mark(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Disconnect_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Active_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Is_Within_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Type_Marks_List(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Implicit_Alias_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Alias_Signature(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Attribute_Signature(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Overload_List(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Simple_Name_Identifier(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Simple_Name_Subtype(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Protected_Type_Body(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Protected_Type_Declaration(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Use_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Elaborated_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_End_Has_Reserved_Id(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_End_Has_Identifier(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_End_Has_Postponed(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Label(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Begin(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_End(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Is(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Pure(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Body(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Parameter(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Component(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Identifier_List(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Mode(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Class(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Has_Delay_Mechanism(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Suspend_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Stop_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Is_Ref(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Is_Forward_Ref(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Psl_Property(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Psl_Sequence(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Psl_Declaration(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Psl_Expression(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Psl_Boolean(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_PSL_Clock(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_PSL_NFA(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_PSL_Nbr_States(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_PSL_Clock_Sensitivity(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_PSL_EOS_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_PSL_Abort_Flag(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Count_Expression(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Clock_Expression(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Default_Clock(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Foreign_Node(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Suspend_State_Index(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Suspend_State_Chain(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Suspend_State_Last(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –

pyGHDL.libghdl.vhdl.nodes_meta.Has_Suspend_State_Decl(kind)[source]#
Return type:

bool

Parameters:

kind (IirKind) –


Classes

class pyGHDL.libghdl.vhdl.nodes_meta.types(value)[source]#

An enumeration.

Inheritance

Inheritance diagram of types

class pyGHDL.libghdl.vhdl.nodes_meta.Attr(value)[source]#

An enumeration.

Inheritance

Inheritance diagram of Attr

class pyGHDL.libghdl.vhdl.nodes_meta.fields(value)[source]#

An enumeration.

Inheritance

Inheritance diagram of fields