pyGHDL.dom.Symbol#

Classes


Classes

class pyGHDL.dom.Symbol.LibraryReferenceSymbol(identifierNode, name)[source]#

Inheritance

Inheritance diagram of LibraryReferenceSymbol

Parameters:
  • identifierNode (Iir) –

  • name (<module 'pyVHDLModel.Name' from '/usr/local/lib/python3.9/dist-packages/pyVHDLModel/Name.py'>) –

__init__(identifierNode, name)[source]#
Parameters:
  • identifierNode (Iir) –

  • name (<module 'pyVHDLModel.Name' from '/usr/local/lib/python3.9/dist-packages/pyVHDLModel/Name.py'>) –

__repr__()#

Return repr(self).

Return type:

str

__str__()#

Return str(self).

Return type:

str

class pyGHDL.dom.Symbol.PackageReferenceSymbol(identifierNode, name)[source]#

Inheritance

Inheritance diagram of PackageReferenceSymbol

Parameters:
  • identifierNode (Iir) –

  • name (<module 'pyVHDLModel.Name' from '/usr/local/lib/python3.9/dist-packages/pyVHDLModel/Name.py'>) –

__init__(identifierNode, name)[source]#
Parameters:
  • identifierNode (Iir) –

  • name (<module 'pyVHDLModel.Name' from '/usr/local/lib/python3.9/dist-packages/pyVHDLModel/Name.py'>) –

__repr__()#

Return repr(self).

Return type:

str

__str__()#

Return str(self).

Return type:

str

class pyGHDL.dom.Symbol.ContextReferenceSymbol(identifierNode, name)[source]#

Inheritance

Inheritance diagram of ContextReferenceSymbol

Parameters:
  • identifierNode (Iir) –

  • name (<module 'pyVHDLModel.Name' from '/usr/local/lib/python3.9/dist-packages/pyVHDLModel/Name.py'>) –

__init__(identifierNode, name)[source]#
Parameters:
  • identifierNode (Iir) –

  • name (<module 'pyVHDLModel.Name' from '/usr/local/lib/python3.9/dist-packages/pyVHDLModel/Name.py'>) –

__repr__()#

Return repr(self).

Return type:

str

__str__()#

Return str(self).

Return type:

str

class pyGHDL.dom.Symbol.PackageMemberReferenceSymbol(identifierNode, name)[source]#

Inheritance

Inheritance diagram of PackageMemberReferenceSymbol

Parameters:
  • identifierNode (Iir) –

  • name (<module 'pyVHDLModel.Name' from '/usr/local/lib/python3.9/dist-packages/pyVHDLModel/Name.py'>) –

__init__(identifierNode, name)[source]#
Parameters:
  • identifierNode (Iir) –

  • name (<module 'pyVHDLModel.Name' from '/usr/local/lib/python3.9/dist-packages/pyVHDLModel/Name.py'>) –

__repr__()#

Return repr(self).

Return type:

str

__str__()#

Return str(self).

Return type:

str

class pyGHDL.dom.Symbol.AllPackageMembersReferenceSymbol(identifierNode, name)[source]#

Inheritance

Inheritance diagram of AllPackageMembersReferenceSymbol

Parameters:
  • identifierNode (Iir) –

  • name (<module 'pyVHDLModel.Name' from '/usr/local/lib/python3.9/dist-packages/pyVHDLModel/Name.py'>) –

__init__(identifierNode, name)[source]#
Parameters:
  • identifierNode (Iir) –

  • name (<module 'pyVHDLModel.Name' from '/usr/local/lib/python3.9/dist-packages/pyVHDLModel/Name.py'>) –

__repr__()#

Return repr(self).

Return type:

str

__str__()#

Return str(self).

Return type:

str

class pyGHDL.dom.Symbol.EntityInstantiationSymbol(identifierNode, name)[source]#

Inheritance

Inheritance diagram of EntityInstantiationSymbol

Parameters:
  • identifierNode (Iir) –

  • name (<module 'pyVHDLModel.Name' from '/usr/local/lib/python3.9/dist-packages/pyVHDLModel/Name.py'>) –

__init__(identifierNode, name)[source]#
Parameters:
  • identifierNode (Iir) –

  • name (<module 'pyVHDLModel.Name' from '/usr/local/lib/python3.9/dist-packages/pyVHDLModel/Name.py'>) –

__repr__()#

Return repr(self).

Return type:

str

__str__()#

Return str(self).

Return type:

str

class pyGHDL.dom.Symbol.ComponentInstantiationSymbol(identifierNode, name)[source]#

Inheritance

Inheritance diagram of ComponentInstantiationSymbol

Parameters:
  • identifierNode (Iir) –

  • name (<module 'pyVHDLModel.Name' from '/usr/local/lib/python3.9/dist-packages/pyVHDLModel/Name.py'>) –

__init__(identifierNode, name)[source]#
Parameters:
  • identifierNode (Iir) –

  • name (<module 'pyVHDLModel.Name' from '/usr/local/lib/python3.9/dist-packages/pyVHDLModel/Name.py'>) –

__repr__()#

Return repr(self).

Return type:

str

__str__()#

Return str(self).

Return type:

str

class pyGHDL.dom.Symbol.ConfigurationInstantiationSymbol(identifierNode, name)[source]#

Inheritance

Inheritance diagram of ConfigurationInstantiationSymbol

Parameters:
  • identifierNode (Iir) –

  • name (<module 'pyVHDLModel.Name' from '/usr/local/lib/python3.9/dist-packages/pyVHDLModel/Name.py'>) –

__init__(identifierNode, name)[source]#
Parameters:
  • identifierNode (Iir) –

  • name (<module 'pyVHDLModel.Name' from '/usr/local/lib/python3.9/dist-packages/pyVHDLModel/Name.py'>) –

__repr__()#

Return repr(self).

Return type:

str

__str__()#

Return str(self).

Return type:

str

class pyGHDL.dom.Symbol.EntitySymbol(identifierNode, name)[source]#

Inheritance

Inheritance diagram of EntitySymbol

Parameters:
  • identifierNode (Iir) –

  • name (<module 'pyVHDLModel.Name' from '/usr/local/lib/python3.9/dist-packages/pyVHDLModel/Name.py'>) –

__init__(identifierNode, name)[source]#
Parameters:
  • identifierNode (Iir) –

  • name (<module 'pyVHDLModel.Name' from '/usr/local/lib/python3.9/dist-packages/pyVHDLModel/Name.py'>) –

__repr__()#

Return repr(self).

Return type:

str

__str__()#

Return str(self).

Return type:

str

class pyGHDL.dom.Symbol.ArchitectureSymbol(identifierNode, name)[source]#

Inheritance

Inheritance diagram of ArchitectureSymbol

Parameters:
  • identifierNode (Iir) –

  • name (<module 'pyVHDLModel.Name' from '/usr/local/lib/python3.9/dist-packages/pyVHDLModel/Name.py'>) –

__init__(identifierNode, name)[source]#
Parameters:
  • identifierNode (Iir) –

  • name (<module 'pyVHDLModel.Name' from '/usr/local/lib/python3.9/dist-packages/pyVHDLModel/Name.py'>) –

__repr__()#

Return repr(self).

Return type:

str

__str__()#

Return str(self).

Return type:

str

class pyGHDL.dom.Symbol.PackageSymbol(identifierNode, name)[source]#

Inheritance

Inheritance diagram of PackageSymbol

Parameters:
  • identifierNode (Iir) –

  • name (<module 'pyVHDLModel.Name' from '/usr/local/lib/python3.9/dist-packages/pyVHDLModel/Name.py'>) –

__init__(identifierNode, name)[source]#
Parameters:
  • identifierNode (Iir) –

  • name (<module 'pyVHDLModel.Name' from '/usr/local/lib/python3.9/dist-packages/pyVHDLModel/Name.py'>) –

__repr__()#

Return repr(self).

Return type:

str

__str__()#

Return str(self).

Return type:

str

class pyGHDL.dom.Symbol.SimpleSubtypeSymbol(node, subtypeName)[source]#

Inheritance

Inheritance diagram of SimpleSubtypeSymbol

Parameters:
  • node (Iir) –

  • subtypeName (<module 'pyVHDLModel.Name' from '/usr/local/lib/python3.9/dist-packages/pyVHDLModel/Name.py'>) –

__init__(node, subtypeName)[source]#
Parameters:
  • node (Iir) –

  • subtypeName (<module 'pyVHDLModel.Name' from '/usr/local/lib/python3.9/dist-packages/pyVHDLModel/Name.py'>) –

__repr__()#

Return repr(self).

Return type:

str

__str__()#

Return str(self).

Return type:

str

class pyGHDL.dom.Symbol.ConstrainedScalarSubtypeSymbol(node, subtypeName, rng=None)[source]#

Inheritance

Inheritance diagram of ConstrainedScalarSubtypeSymbol

Parameters:
  • node (Iir) –

  • subtypeName (<module 'pyVHDLModel.Name' from '/usr/local/lib/python3.9/dist-packages/pyVHDLModel/Name.py'>) –

  • rng (Range) –

__init__(node, subtypeName, rng=None)[source]#
Parameters:
  • node (Iir) –

  • subtypeName (<module 'pyVHDLModel.Name' from '/usr/local/lib/python3.9/dist-packages/pyVHDLModel/Name.py'>) –

  • rng (Range | None) –

__repr__()#

Return repr(self).

Return type:

str

__str__()#

Return str(self).

Return type:

str

class pyGHDL.dom.Symbol.ConstrainedCompositeSubtypeSymbol(node, subtypeName, constraints=None)[source]#

Inheritance

Inheritance diagram of ConstrainedCompositeSubtypeSymbol

Parameters:
  • node (Iir) –

  • subtypeName (<module 'pyVHDLModel.Name' from '/usr/local/lib/python3.9/dist-packages/pyVHDLModel/Name.py'>) –

  • constraints (List) –

__init__(node, subtypeName, constraints=None)[source]#
Parameters:
  • node (Iir) –

  • subtypeName (<module 'pyVHDLModel.Name' from '/usr/local/lib/python3.9/dist-packages/pyVHDLModel/Name.py'>) –

  • constraints (List | None) –

__repr__()#

Return repr(self).

Return type:

str

__str__()#

Return str(self).

Return type:

str

class pyGHDL.dom.Symbol.SimpleObjectOrFunctionCallSymbol(node, name)[source]#

Inheritance

Inheritance diagram of SimpleObjectOrFunctionCallSymbol

Parameters:
  • node (Iir) –

  • name (<module 'pyVHDLModel.Name' from '/usr/local/lib/python3.9/dist-packages/pyVHDLModel/Name.py'>) –

__init__(node, name)[source]#
Parameters:
  • node (Iir) –

  • name (<module 'pyVHDLModel.Name' from '/usr/local/lib/python3.9/dist-packages/pyVHDLModel/Name.py'>) –

__repr__()#

Return repr(self).

Return type:

str

__str__()#

Return str(self).

Return type:

str

class pyGHDL.dom.Symbol.IndexedObjectOrFunctionCallSymbol(node, name)[source]#

Inheritance

Inheritance diagram of IndexedObjectOrFunctionCallSymbol

Parameters:
  • node (Iir) –

  • name (<module 'pyVHDLModel.Name' from '/usr/local/lib/python3.9/dist-packages/pyVHDLModel/Name.py'>) –

__init__(node, name)[source]#
Parameters:
  • node (Iir) –

  • name (<module 'pyVHDLModel.Name' from '/usr/local/lib/python3.9/dist-packages/pyVHDLModel/Name.py'>) –

__repr__()#

Return repr(self).

Return type:

str

__str__()#

Return str(self).

Return type:

str