pyGHDL.dom.DesignUnit#

This module contains all DOM classes for VHDL’s design units (context, architecture, package, package body, context and configuration.

Classes

  • LibraryClause: ModelEntity is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple

  • UseClause: ModelEntity is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple

  • ContextReference: ModelEntity is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple

  • Entity: A PrimaryUnit is a base-class for all primary units.

  • Architecture: A SecondaryUnit is a base-class for all secondary units.

  • Component: ModelEntity is the base-class for all classes in the VHDL language model, except for mixin classes (see multiple

  • Package: A PrimaryUnit is a base-class for all primary units.

  • PackageBody: A SecondaryUnit is a base-class for all secondary units.

  • PackageInstantiation: A PrimaryUnit is a base-class for all primary units.

  • Context: A PrimaryUnit is a base-class for all primary units.

  • Configuration: A PrimaryUnit is a base-class for all primary units.


Classes

class pyGHDL.dom.DesignUnit.LibraryClause(libraryNode, symbols)[source]#

Inheritance

Inheritance diagram of LibraryClause

Parameters:
__init__(libraryNode, symbols)[source]#

Initializes a VHDL model entity.

Parameters:
_parent: ModelEntity#

Reference to a parent entity in the model.

property Parent: ModelEntity#

Returns a reference to the parent entity.

Returns:

Parent entity.

class pyGHDL.dom.DesignUnit.UseClause(useNode, symbols)[source]#

Inheritance

Inheritance diagram of UseClause

Parameters:
__init__(useNode, symbols)[source]#

Initializes a VHDL model entity.

Parameters:
_parent: ModelEntity#

Reference to a parent entity in the model.

property Parent: ModelEntity#

Returns a reference to the parent entity.

Returns:

Parent entity.

class pyGHDL.dom.DesignUnit.ContextReference(contextNode, symbols)[source]#

Inheritance

Inheritance diagram of ContextReference

Parameters:
__init__(contextNode, symbols)[source]#

Initializes a VHDL model entity.

Parameters:
_parent: ModelEntity#

Reference to a parent entity in the model.

property Parent: ModelEntity#

Returns a reference to the parent entity.

Returns:

Parent entity.

class pyGHDL.dom.DesignUnit.Entity(node, identifier, contextItems=None, genericItems=None, portItems=None, declaredItems=None, statements=None, documentation=None)[source]#

Inheritance

Inheritance diagram of Entity

Parameters:
__init__(node, identifier, contextItems=None, genericItems=None, portItems=None, declaredItems=None, statements=None, documentation=None)[source]#

Initializes a design unit.

Parameters:
_parent: ModelEntity#

Reference to a parent entity in the model.

property ContextItems: List[LibraryClause | UseClause | ContextReference]#

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]#

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property Documentation: str | None#

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

property Identifier: str#

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property LibraryReferences: List[LibraryClause]#

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property NormalizedIdentifier: str#

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageReferences: List[UseClause]#

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property Parent: ModelEntity#

Returns a reference to the parent entity.

Returns:

Parent entity.

__repr__()[source]#

Return repr(self).

Return type:

str

__str__()[source]#

Return str(self).

Return type:

str

_library: Library#

The VHDL library, the design unit was analyzed into.

_contextItems: List['ContextUnion']#

List of all context items (library, use and context clauses).

_libraryReferences: List['LibraryClause']#

List of library clauses.

_packageReferences: List['UseClause']#

List of use clauses.

_contextReferences: List['ContextReference']#

List of context clauses.

_referencedLibraries: Dict[str, 'Library']#

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: Dict[str, Dict[str, 'Package']]#

Referenced packages based on explicit use clauses or implicit inheritance

_referencedContexts: Dict[str, 'Context']#

Referenced contexts based on explicit context references or implicit inheritance

_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]#

The vertex in the dependency graph

_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]#

The vertex in the hierarchy graph

_identifier: str#

The identifier of a model entity.

_normalizedIdentifier: str#

The normalized (lower case) identifier of a model entity.

_documentation: Nullable[str]#

The associated documentation of a model entity.

class pyGHDL.dom.DesignUnit.Architecture(node, identifier, entity, contextItems=None, declaredItems=None, statements=None, documentation=None)[source]#

Inheritance

Inheritance diagram of Architecture

Parameters:
__init__(node, identifier, entity, contextItems=None, declaredItems=None, statements=None, documentation=None)[source]#

Initializes a design unit.

Parameters:
_parent: ModelEntity#

Reference to a parent entity in the model.

property ContextItems: List[LibraryClause | UseClause | ContextReference]#

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]#

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property Documentation: str | None#

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

property Identifier: str#

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property LibraryReferences: List[LibraryClause]#

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property NormalizedIdentifier: str#

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageReferences: List[UseClause]#

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property Parent: ModelEntity#

Returns a reference to the parent entity.

Returns:

Parent entity.

__repr__()[source]#

Return repr(self).

Return type:

str

__str__()[source]#

Return str(self).

Return type:

str

_library: Library = None#

The VHDL library, the design unit was analyzed into.

_contextItems: List['ContextUnion']#

List of all context items (library, use and context clauses).

_libraryReferences: List['LibraryClause']#

List of library clauses.

_packageReferences: List['UseClause']#

List of use clauses.

_contextReferences: List['ContextReference']#

List of context clauses.

_referencedLibraries: Dict[str, 'Library']#

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: Dict[str, Dict[str, 'Package']]#

Referenced packages based on explicit use clauses or implicit inheritance

_referencedContexts: Dict[str, 'Context']#

Referenced contexts based on explicit context references or implicit inheritance

_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]#

The vertex in the dependency graph

_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]#

The vertex in the hierarchy graph

_identifier: str#

The identifier of a model entity.

_normalizedIdentifier: str#

The normalized (lower case) identifier of a model entity.

_documentation: Nullable[str]#

The associated documentation of a model entity.

class pyGHDL.dom.DesignUnit.Component(node, identifier, genericItems=None, portItems=None, documentation=None)[source]#

Inheritance

Inheritance diagram of Component

Parameters:
__init__(node, identifier, genericItems=None, portItems=None, documentation=None)[source]#

Initializes a VHDL model entity.

Parameters:
_parent: ModelEntity#

Reference to a parent entity in the model.

property Documentation: str | None#

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

property Identifier: str#

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property NormalizedIdentifier: str#

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property Parent: ModelEntity#

Returns a reference to the parent entity.

Returns:

Parent entity.

_identifier: str#

The identifier of a model entity.

_normalizedIdentifier: str#

The normalized (lower case) identifier of a model entity.

_documentation: Nullable[str]#

The associated documentation of a model entity.

class pyGHDL.dom.DesignUnit.Package(node, identifier, contextItems=None, genericItems=None, declaredItems=None, documentation=None)[source]#

Inheritance

Inheritance diagram of Package

Parameters:
__init__(node, identifier, contextItems=None, genericItems=None, declaredItems=None, documentation=None)[source]#

Initializes a design unit.

Parameters:
_parent: ModelEntity#

Reference to a parent entity in the model.

property ContextItems: List[LibraryClause | UseClause | ContextReference]#

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]#

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property Documentation: str | None#

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

property Identifier: str#

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property LibraryReferences: List[LibraryClause]#

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property NormalizedIdentifier: str#

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageReferences: List[UseClause]#

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property Parent: ModelEntity#

Returns a reference to the parent entity.

Returns:

Parent entity.

__repr__()[source]#

Return repr(self).

Return type:

str

__str__()[source]#

Return str(self).

Return type:

str

_library: Library#

The VHDL library, the design unit was analyzed into.

_contextItems: List['ContextUnion']#

List of all context items (library, use and context clauses).

_libraryReferences: List['LibraryClause']#

List of library clauses.

_packageReferences: List['UseClause']#

List of use clauses.

_contextReferences: List['ContextReference']#

List of context clauses.

_referencedLibraries: Dict[str, 'Library']#

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: Dict[str, Dict[str, 'Package']]#

Referenced packages based on explicit use clauses or implicit inheritance

_referencedContexts: Dict[str, 'Context']#

Referenced contexts based on explicit context references or implicit inheritance

_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]#

The vertex in the dependency graph

_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]#

The vertex in the hierarchy graph

_identifier: str#

The identifier of a model entity.

_normalizedIdentifier: str#

The normalized (lower case) identifier of a model entity.

_documentation: Nullable[str]#

The associated documentation of a model entity.

class pyGHDL.dom.DesignUnit.PackageBody(node, packageSymbol, contextItems=None, declaredItems=None, documentation=None)[source]#

Inheritance

Inheritance diagram of PackageBody

Parameters:
__init__(node, packageSymbol, contextItems=None, declaredItems=None, documentation=None)[source]#

Initializes a design unit.

Parameters:
_parent: ModelEntity#

Reference to a parent entity in the model.

property ContextItems: List[LibraryClause | UseClause | ContextReference]#

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]#

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property Documentation: str | None#

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

property Identifier: str#

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property LibraryReferences: List[LibraryClause]#

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property NormalizedIdentifier: str#

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageReferences: List[UseClause]#

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property Parent: ModelEntity#

Returns a reference to the parent entity.

Returns:

Parent entity.

__repr__()[source]#

Return repr(self).

Return type:

str

__str__()[source]#

Return str(self).

Return type:

str

_library: Library#

The VHDL library, the design unit was analyzed into.

_contextItems: List['ContextUnion']#

List of all context items (library, use and context clauses).

_libraryReferences: List['LibraryClause']#

List of library clauses.

_packageReferences: List['UseClause']#

List of use clauses.

_contextReferences: List['ContextReference']#

List of context clauses.

_referencedLibraries: Dict[str, 'Library']#

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: Dict[str, Dict[str, 'Package']]#

Referenced packages based on explicit use clauses or implicit inheritance

_referencedContexts: Dict[str, 'Context']#

Referenced contexts based on explicit context references or implicit inheritance

_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]#

The vertex in the dependency graph

_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]#

The vertex in the hierarchy graph

_identifier: str#

The identifier of a model entity.

_normalizedIdentifier: str#

The normalized (lower case) identifier of a model entity.

_documentation: Nullable[str]#

The associated documentation of a model entity.

class pyGHDL.dom.DesignUnit.PackageInstantiation(node, identifier, uninstantiatedPackageName, documentation=None)[source]#

Inheritance

Inheritance diagram of PackageInstantiation

Parameters:
  • node (Iir) –

  • identifier (str) –

  • uninstantiatedPackageName (Symbol) –

  • documentation (str) –

__init__(node, identifier, uninstantiatedPackageName, documentation=None)[source]#

Initializes a design unit.

Parameters:
  • identifier (str) – Identifier (name) of the design unit.

  • contextItems – A sequence of library, use or context clauses.

  • documentation (Optional[str]) – Associated documentation of the design unit.

  • node (Iir) –

  • uninstantiatedPackageName (Symbol) –

_parent: ModelEntity#

Reference to a parent entity in the model.

property ContextItems: List[LibraryClause | UseClause | ContextReference]#

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]#

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property Documentation: str | None#

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

property Identifier: str#

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property LibraryReferences: List[LibraryClause]#

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property NormalizedIdentifier: str#

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageReferences: List[UseClause]#

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property Parent: ModelEntity#

Returns a reference to the parent entity.

Returns:

Parent entity.

_library: Library#

The VHDL library, the design unit was analyzed into.

_contextItems: List['ContextUnion']#

List of all context items (library, use and context clauses).

_libraryReferences: List['LibraryClause']#

List of library clauses.

_packageReferences: List['UseClause']#

List of use clauses.

_contextReferences: List['ContextReference']#

List of context clauses.

_referencedLibraries: Dict[str, 'Library']#

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: Dict[str, Dict[str, 'Package']]#

Referenced packages based on explicit use clauses or implicit inheritance

_referencedContexts: Dict[str, 'Context']#

Referenced contexts based on explicit context references or implicit inheritance

_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]#

The vertex in the dependency graph

_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]#

The vertex in the hierarchy graph

_identifier: str#

The identifier of a model entity.

_normalizedIdentifier: str#

The normalized (lower case) identifier of a model entity.

_documentation: Nullable[str]#

The associated documentation of a model entity.

class pyGHDL.dom.DesignUnit.Context(node, identifier, references=None, documentation=None)[source]#

Inheritance

Inheritance diagram of Context

Parameters:
__init__(node, identifier, references=None, documentation=None)[source]#

Initializes a design unit.

Parameters:
_parent: ModelEntity#

Reference to a parent entity in the model.

property ContextItems: List[LibraryClause | UseClause | ContextReference]#

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]#

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property Documentation: str | None#

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

property Identifier: str#

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property LibraryReferences: List[LibraryClause]#

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property NormalizedIdentifier: str#

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageReferences: List[UseClause]#

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property Parent: ModelEntity#

Returns a reference to the parent entity.

Returns:

Parent entity.

__str__()[source]#

Return str(self).

_libraryReferences: List[LibraryClause]#

List of library clauses.

_packageReferences: List[UseClause]#

List of use clauses.

_contextReferences: List[ContextReference]#

List of context clauses.

_library: Library#

The VHDL library, the design unit was analyzed into.

_contextItems: List['ContextUnion']#

List of all context items (library, use and context clauses).

_referencedLibraries: Dict[str, 'Library']#

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: Dict[str, Dict[str, 'Package']]#

Referenced packages based on explicit use clauses or implicit inheritance

_referencedContexts: Dict[str, 'Context']#

Referenced contexts based on explicit context references or implicit inheritance

_dependencyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]#

The vertex in the dependency graph

_hierarchyVertex: Vertex[None, None, str, 'DesignUnit', None, None, None, None, None, None, None, None, None, None, None, None, None]#

The vertex in the hierarchy graph

_identifier: str#

The identifier of a model entity.

_normalizedIdentifier: str#

The normalized (lower case) identifier of a model entity.

_documentation: Nullable[str]#

The associated documentation of a model entity.

class pyGHDL.dom.DesignUnit.Configuration(node, identifier, contextItems=None, documentation=None)[source]#

Inheritance

Inheritance diagram of Configuration

Parameters:
__init__(node, identifier, contextItems=None, documentation=None)[source]#

Initializes a design unit.

Parameters:
  • identifier (str) – Identifier (name) of the design unit.

  • contextItems (Optional[Iterable[Context]]) – A sequence of library, use or context clauses.

  • documentation (Optional[str]) – Associated documentation of the design unit.

  • node (Iir) –

_parent: ModelEntity#

Reference to a parent entity in the model.

property ContextItems: List[LibraryClause | UseClause | ContextReference]#

Read-only property to access the sequence of all context items comprising library, use and context clauses (_contextItems).

Returns:

Sequence of context items.

property ContextReferences: List[ContextReference]#

Read-only property to access the sequence of context clauses (_contextReferences).

Returns:

Sequence of context clauses.

property Documentation: str | None#

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

property Identifier: str#

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property LibraryReferences: List[LibraryClause]#

Read-only property to access the sequence of library clauses (_libraryReferences).

Returns:

Sequence of library clauses.

property NormalizedIdentifier: str#

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageReferences: List[UseClause]#

Read-only property to access the sequence of use clauses (_packageReferences).

Returns:

Sequence of use clauses.

property Parent: ModelEntity#

Returns a reference to the parent entity.

Returns:

Parent entity.

__repr__()[source]#

Return repr(self).

Return type:

str

__str__()[source]#

Return str(self).

Return type:

str

_library: Library#

The VHDL library, the design unit was analyzed into.

_contextItems: List[ContextUnion]#

List of all context items (library, use and context clauses).

_libraryReferences: List[LibraryClause]#

List of library clauses.

_packageReferences: List[UseClause]#

List of use clauses.

_contextReferences: List[ContextReference]#

List of context clauses.

_referencedLibraries: Dict[str, Library]#

Referenced libraries based on explicit library clauses or implicit inheritance

_referencedPackages: Dict[str, Dict[str, Package]]#

Referenced packages based on explicit use clauses or implicit inheritance

_referencedContexts: Dict[str, Context]#

Referenced contexts based on explicit context references or implicit inheritance

_dependencyVertex: Vertex[None, None, str, DesignUnit, None, None, None, None, None, None, None, None, None, None, None, None, None]#

The vertex in the dependency graph

_hierarchyVertex: Vertex[None, None, str, DesignUnit, None, None, None, None, None, None, None, None, None, None, None, None, None]#

The vertex in the hierarchy graph

_identifier: str#

The identifier of a model entity.

_normalizedIdentifier: str#

The normalized (lower case) identifier of a model entity.

_documentation: Nullable[str]#

The associated documentation of a model entity.