pyGHDL.dom.NonStandard#

Todo

Add a module documentation.

Classes

  • Design: A Design represents all loaded and analysed files (see Document). It’s the root of this

  • Library: A Library represents a VHDL library. It contains all primary and secondary design units.

  • Document: A Document represents a sourcefile. It contains primary and secondary design units.


Classes

class pyGHDL.dom.NonStandard.Design(name=None)[source]#

Inheritance

Inheritance diagram of Design

Parameters:

name (str | None) –

__init__(name=None)[source]#

Initializes a VHDL design.

Parameters:

name (Optional[str]) – Name of the design.

__ghdl_init()#

Initialization: set options and then load libraries.

_parent: ModelEntity#

Reference to a parent entity in the model.

property Documents: List[Document]#

Returns a list of all documents (files) loaded for this design.

property Libraries: Dict[str, Library]#

Returns a list of all libraries specified for this design.

property Parent: ModelEntity#

Returns a reference to the parent entity.

Returns:

Parent entity.

__repr__()[source]#

Return repr(self).

Return type:

str

__str__()#

Return str(self).

Return type:

str

name: Optional[str]#

Name of the design

_libraries: Dict[str, Library]#

List of all libraries defined for a design.

_documents: List[Document]#

List of all documents loaded for a design.

_dependencyGraph: Graph[None, None, None, None, None, None, None, None, str, DesignUnit, None, None, None, None, None, None, None, None, None, None, None, None, None]#

The graph of all dependencies in the designs.

_compileOrderGraph: Graph[None, None, None, None, None, None, None, None, None, Document, None, None, None, None, None, None, None, None, None, None, None, None, None]#

A graph derived from dependency graph containing the order of documents for compilation.

_hierarchyGraph: Graph[None, None, None, None, None, None, None, None, str, DesignUnit, None, None, None, None, None, None, None, None, None, None, None, None, None]#

A graph derived from dependency graph containing the design hierarchy.

_toplevel: Union[Entity, Configuration]#

When computed, the toplevel design unit is cached in this field.

class pyGHDL.dom.NonStandard.Library(identifier)[source]#

Inheritance

Inheritance diagram of Library

Parameters:

identifier (str) –

_parent: ModelEntity#

Reference to a parent entity in the model.

property Architectures: Dict[str, Dict[str, Architecture]]#

Returns a list of all architectures declarations declared in this library.

property Configurations: Dict[str, Configuration]#

Returns a list of all configuration declarations declared in this library.

property Contexts: Dict[str, Context]#

Returns a list of all context declarations declared in this library.

property Entities: Dict[str, Entity]#

Returns a list of all entity declarations declared in this library.

property Identifier: str#

Returns a model entity’s identifier (name).

Returns:

Name of a model entity.

property NormalizedIdentifier: str#

Returns a model entity’s normalized identifier (lower case name).

Returns:

Normalized name of a model entity.

property PackageBodies: Dict[str, PackageBody]#

Returns a list of all package body declarations declared in this library.

property Packages: Dict[str, Package]#

Returns a list of all package declarations declared in this library.

property Parent: ModelEntity#

Returns a reference to the parent entity.

Returns:

Parent entity.

__init__(identifier)[source]#

Initializes a VHDL model entity.

Parameters:

identifier (str) –

__repr__()[source]#

Return repr(self).

Return type:

str

__str__()#

Return str(self).

Return type:

str

_contexts: Dict[str, Context]#

Dictionary of all contexts defined in a library.

_configurations: Dict[str, Configuration]#

Dictionary of all configurations defined in a library.

_entities: Dict[str, Entity]#

Dictionary of all entities defined in a library.

_architectures: Dict[str, Dict[str, Architecture]]#

Dictionary of all architectures defined in a library.

_packages: Dict[str, Package]#

Dictionary of all packages defined in a library.

_packageBodies: Dict[str, PackageBody]#

Dictionary of all package bodies defined in a library.

_identifier: str#

The identifier of a model entity.

_normalizedIdentifier: str#

The normalized (lower case) identifier of a model entity.

class pyGHDL.dom.NonStandard.Document(path, sourceCode=None, vhdlVersion=VHDLVersion.VHDL2008, dontParse=False, dontTranslate=False)[source]#

Inheritance

Inheritance diagram of Document

Parameters:
__init__(path, sourceCode=None, vhdlVersion=VHDLVersion.VHDL2008, dontParse=False, dontTranslate=False)[source]#

Initializes a VHDL model entity.

Parameters:
_parent: ModelEntity#

Reference to a parent entity in the model.

property Architectures: Dict[str, Dict[str, Architecture]]#

Returns a list of all architecture declarations found in this document.

property Configurations: Dict[str, Configuration]#

Returns a list of all configuration declarations found in this document.

property Contexts: Dict[str, Context]#

Returns a list of all context declarations found in this document.

property DesignUnits: List[DesignUnit]#

Returns a list of all design units declarations found in this document.

property Documentation: str | None#

Returns a model entity’s associated documentation.

Returns:

Associated documentation of a model entity.

property Entities: Dict[str, Entity]#

Returns a list of all entity declarations found in this document.

property PackageBodies: Dict[str, PackageBody]#

Returns a list of all package body declarations found in this document.

property Packages: Dict[str, Package]#

Returns a list of all package declarations found in this document.

property Parent: ModelEntity#

Returns a reference to the parent entity.

Returns:

Parent entity.

property VerificationModes: Dict[str, VerificationMode]#

Returns a list of all verification mode declarations found in this document.

property VerificationProperties: Dict[str, VerificationProperty]#

Returns a list of all verification property declarations found in this document.

property VerificationUnits: Dict[str, VerificationUnit]#

Returns a list of all verification unit declarations found in this document.

__repr__()[source]#

Return repr(self).

Return type:

str

__str__()#

Return str(self).

Return type:

str

_path: Path#

path to the document. None if virtual document.

_designUnits: List[DesignUnit]#

List of all design units defined in a document.

_contexts: Dict[str, Context]#

Dictionary of all contexts defined in a document.

_configurations: Dict[str, Configuration]#

Dictionary of all configurations defined in a document.

_entities: Dict[str, Entity]#

Dictionary of all entities defined in a document.

_architectures: Dict[str, Dict[str, Architecture]]#

Dictionary of all architectures defined in a document.

_packages: Dict[str, Package]#

Dictionary of all packages defined in a document.

_packageBodies: Dict[str, PackageBody]#

Dictionary of all package bodies defined in a document.

_verificationUnits: Dict[str, VerificationUnit]#

Dictionary of all PSL verification units defined in a document.

_verificationProperties: Dict[str, VerificationProperty]#

Dictionary of all PSL verification properties defined in a document.

_verificationModes: Dict[str, VerificationMode]#

Dictionary of all PSL verification modes defined in a document.

_documentation: Nullable[str]#

The associated documentation of a model entity.